Технология производства цифровых микросхем. Технология изготовления интегральных микросхем

Вам не приходилось бывать в сердце полупроводниковой индустрии - на фабрике по производству микросхем?

Вам не приходилось бывать в сердце полупроводниковой индустрии - на фабрике по производству микросхем? Каждое подобное сооружение - творение, способное впечатлить любого, даже непосвященного в производственные процессы человека.

У побывавших там возникало ощущение, будто совершаешь фантастическое путешествие в футуристический муравейник роботов или внутрь самой микросхемы. Там, в стерильном зале размером с три футбольных поля, снуют роботы и десятки специалистов, облаченных в скафандры и защитные шлемы. А высокоточные машины для производства микросхем «парят» на специальных платформах, освещенные желто-оранжевым светом…

Этапы производства кристаллов микросхем и фотолитография

Интегральные микросхемы делают на поверхности монокристаллического кремния (Кремний (Si) используется потому, что он является наиболее подходящим для этих целей полупроводником. В свою очередь, полупроводники - это класс материалов, чья электрическая проводимость находится посреди между проводимостью проводников (главным образом, металлов) и изоляторов (диэлектриков). Кремний также может выступать как в качестве диэлектрика, так и в качестве проводника - в зависимости от количества и типа присутствующих в нем примесей других химических элементов. И эта особенность широко используется при производстве микросхем. Впрочем, в редких случаях вместо кремния применяют и другие материалы. В частности, Intel умеет внедрять в свой 90-нм техпроцесс биполярные транзисторы с гетеропереходами (HBT) на кремний-германии (SiGe)) путем последовательного создания различных слоев на тонкой (меньше миллиметра) круглой (диаметром до 30 см) кремниевой пластине, именуемой подложкой [Тонкие пластины нарезаются из тяжеленной длинной цилиндрической болванки монокристаллического кремния, которая выращивается специальным прецизионным способом. Затем пластины полируются до зеркального блеска механическими и химическими методами. «Рабочая» поверхность (то есть та, на которой далее создается микросхема) пластины должна быть гладкой и совершенной на атомарном уровне и иметь весьма точную кристаллографическою ориентацию (подобно различным граням бриллианта при огранке, но еще более совершенной)]. Слои формируются при помощи различных процессов с использованием химических реактивов, газов и света. Производство современных микропроцессоров является сложным процессом, состоящим из трехсот с лишним шагов - более двадцати слоев «витиевато» соединены между собой, дабы сформировать схему микропроцессора с трехмерной структурой. Точное число слоев на подложке (вафле) зависит от дизайн-проекта конкретного процессора. Сотни идентичных микропроцессоров создаются на одной кремниевой подложке и на финальной стадии разрезаются на отдельные прямоугольные кристаллы - чипы.

Процессы формирования различных слоев и рисунков элементов микросхемы на подложке достаточно хитроумны (фактически это целая область науки), однако в их основе лежит одна простая идея: поскольку характерные размеры создаваемого рисунка настолько малы (Например, ячейка кэш-памяти процессора на 90-нм ядре Prescott в сто раз меньше красной кровяной клетки (эритроцита), а один ее транзистор - величиной с вирус гриппа), что осаждать те или иные материалы в нужных местах просто невозможно, поступают проще - материал осаждают сразу на всю поверхность подложки, а затем его аккуратно удаляют из тех мест, где он не нужен. Для этого служит процесс фотолитографии.

Что такое «чистая комната» и почему они используются на полупроводниковых фабриках?

Кристаллы микросхем должны производиться в условиях контролируемого и очень чистого воздуха. Поскольку функциональные элементы (транзисторы, проводники) на микрочипах очень малы, любая чужеродная частица (пыль, дым или чешуйки кожи), попавшая на пластину с будущими микросхемами на промежуточных стадиях ее производства, способна вывести из строя целый кристалл. «Чистые комнаты» классифицируются по размеру и количеству микрочастиц, присутствующих в единице объема (кубическом футе, примерно равном одной тридцатой части кубометра) воздуха. Например, комнаты класса 1, используемые в современном производстве, примерно в тысячу раз чище, чем хирургическая операционная. «Чистая комната» управляет чистотой воздуха путем фильтрации поступающего воздуха, удалением грязи с установок, ламинарным перемещением воздуха от потолка к полу (примерно за шесть секунд), регулировкой влажности и температуры. Люди в «чистых комнатах» ходят в специальных скафандрах, закрывающих, в том числе, весь волосяной покров (а в ряде случаев - даже с собственной системой дыхания). Для устранения вибраций чистые комнаты располагаются на собственном виброзащитном фундаменте.

Фотолитография является незыблемой основой производства микросхем, и в обозримом будущем ей вряд ли найдется достойная замена. Поэтому имеет смысл рассмотреть ее подробнее. Например, нам нужно создать рисунок в слое какого-то материала - диоксида кремния или металла (это наиболее распространенные в современном производстве операции). Прежде всего, на подложке тем или иным способом создается тонкий (обычно тоньше одного микрона) и сплошной, без дефектов, слой нужного материала. Далее на нем проводится фотолитография. Для этого сперва на поверхность пластины наносится тонкий слой светочувствительного материала, называемого фоторезистом (Фоторезист наносится из жидкой фазы, равномерно распределяется по поверхности пластины вращением в центрифуге и сушится до затвердевания). Затем пластина с фоторезистом помещается в прецизионную установку, где нужные участки поверхности облучаются ультрафиолетом сквозь прозрачные отверстия в фотомаске (ее еще называют фотошаблоном). Маска содержит соответствующий (наносимый на поверхность пластины) рисунок, который разрабатывается для каждого слоя в процессе проектирования микросхемы. Под действием ультрафиолета облученные участки фоторезиста меняют свои свойства так, что становится возможным их селективно удалить в определенных химических реактивах (Существует негативный и позитивный фоторезист. Один при облучении «крепчает», поэтому удаляют его необлученные участки, а другой, наоборот, теряет химическую стойкость, поэтому удаляются его облученные участки. Соответственно, различают позитивную и негативную фотолитографию). После снятия фоторезиста остаются открытыми только те области поверхности пластины, над которыми требуется совершить нужную операцию - например, убрать слой диэлектрика или металла. Они успешно удаляются (эта процедура называется травлением - химическим или плазмохимическим), после чего остатки фоторезиста можно окончательно убрать с поверхности пластины, оголив сформированный в слое нужного материала рисунок для дальнейших действий.Фотолитография завершена.

При производстве современных микропроцессоров приходится совершать операции фотолитографии до 20–25 раз - каждый раз над новым слоем. В общей сложности это занимает несколько недель! В одних случаях это слои изолирующих материалов, служащих подзатворным диэлектриком транзисторов или пассивирующими (изолирующими) прослойками между транзисторами и проводниками. В других - это формирование проводящих поликремневых затворов транзисторов и соединяющих транзисторы металлических проводников (В целях упрощения часть операций иногда совмещают - например, так называемые самосовмещенные затворы изготавливаются на базе одной и той же фотолитографии одновременным формированием рисунка подзатворного диэлектрика и тонкого поликремниевого затвора). В третьих - это формирование селективно легированных областей (главным образом - стоков и истоков транзисторов), причем легирование участков поверхности монокристаллической кремниевой пластины ионизированными атомами различных химических элементов (с целью создания в кремнии полупроводниковых областей n- или p-типа) производится не через окна в фоторезисте (он слишком нестоек для этого), а сквозь рисунок в достаточно толстом слое нанесенного диэлектрика (например, того же оксида кремния). После чего диэлектрик удаляется вместе с фоторезистом.

Иногда применяется и такой интересный метод, как взрывная фотолитография. То есть сперва формируется рисунок (вытравливаются окна в фоторезисте или временном слое диэлектрика), затем на поверхность пластины наносится сплошной слой нового материала (например, металла), и, наконец, пластина помещается в реактив, удаляющий остатки фоторезиста или временный диэлектрик. В результате удаляемый слой как бы «взрывается» изнутри, унося с собой лежащие на нем куски нанесенного последним металла, а в предварительно «открытых» участках (окнах) металл остался и сформировал нужный нам функциональный рисунок (проводников или затворов). И это только верхушка айсберга, называемого микроэлектронной технологией, в основе которой лежит принцип фотолитографии.

Таким образом на поверхности кремниевой пластины создается сложная трехмерная структура толщиной в несколько микрон, которая, собственно, и является электронной схемой. Сверху схема покрывается толстым (микроны) слоем пассивирующего диэлектрика, защищающего тонкую структуру от внешних воздействий. В нем лишь открываются окна для больших, стороной в десятки микрон, квадратных металлических контактных площадок, через которые на схему подаются извне питающие напряжения и электрические сигналы. А снизу механической основой микросхемы служит кремниевая пластина толщиной в сотни микрон. Теоретически, такую схему можно было бы сделать очень тонкой (10–30 мкм) и при желании даже «свернуть в трубочку» без потери функциональности. И подобные работы уже некоторое время ведутся в отдельных направлениях, хотя традиционные кристаллы микросхем (чипы) по-прежнему остаются «несгибаемыми».

После завершения технологических процедур каждый из кристаллов на пластине тестируется (подробнее об этом - в следующей статье), а потом пластина разрезается на отдельные кристаллы (прямоугольные чипы) при помощи алмазной пилы (Перед разрезанием на кристаллы толщина пластины у современных микропроцессоров уменьшается примерно на треть при помощи механической полировки. Это позволяет помещать их в более компактные корпуса. Полировка обратной стороны преследует также цели удаления посторонних материалов с последующим формированием электрического и адгезионного контактов к подложке при корпусировке). Далее каждый чип упаковывается в свой корпус, что позволяет подключать его к другим приборам. Тип упаковки зависит от типа микросхемы и от того, как она будет использоваться. Напоследок все упакованные чипы тестируются еще раз (негодные отбраковываются, годные проходят специальные стресс-тесты при различных температурах и влажности, а также проверку на электростатический разряд), сортируются по характеристикам и соответствию тем или иным спецификациям и отгружаются заказчику.

Технология Intel Copy Exactly

У большинства производителей микросхем оборудование и процессы, используемые в лабораториях для исследований и разработок, отличаются от того, что применяется на фабриках производства готовой продукции. И при переводе производства с опытного на серийное часто возникают серьезные задержки, связанные с тем, что на новом оборудовании требуется заметно дорабатывать и адаптировать технологические процессы, чтобы достичь высокого процента выхода годной продукции, ранее полученного в лабораториях. Это не только задерживает массовое производство, но и приводит к изменениям сотен параметров техпроцессов и даже конечных изделий. То же самое справедливо, если процесс, отлаженный на одной фабрике, переносится на другую с новым оборудованием.

Чтобы предотвратить возможные издержки, корпорация Intel, имеющая уже более десятка полупроводниковых фабрик, несколько лет назад внедрила у себя технологию Copy Exactly, суть которой в том, что при переносе технологии изготовления того или иного продукта из лаборатории на фабрику или между разными фабриками производится полное, до мелочей повторение (дупликация) всего, что с этим техпроцессом связано. Для этого, в частности, менеджеры с заводов участвуют в разработке продукта. А при переносе технологии копируется буквально все - не только входные и выходные параметры процессов (более 500!), но и их протекание, оборудование и параметры его настроек, поставщики исходных материалов для техпроцессов, трубопроводная система, чистые комнаты и даже методики обучения персонала.

Эта новаторская методика переноса технологий оказалась очень успешной. Сегодня она позволяет заводам выходить на полную мощность практически сразу после запуска - в течение нескольких недель. К тому же технология Copy Exactly придает фабрикам одной корпорации большую гибкость: начатые на одном заводе, пластины без ущерба для качества и выхода годных могут быть завершены на другом. А в случае аварии или реорганизации одной из фабрик другие «подхватят» ее дело и бизнес практически не пострадает. Эту технологию по достоинству оценивают и конкуренты - например, AMD и IBM, - хотя между ними в настоящее время она неприменима, поскольку их технологические маршруты несколько различаются.

Полупроводниковые фабрики

Сейчас в промышленности по производству чипов подходит к завершению одна из тех революций, которые раз в десятилетие меняют облик индустрии. Изготовители переходят от подложек диаметром 200 мм к подложкам диаметром 300 мм (см. фото справа), в результате чего появляется возможность заметно удешевить производство микросхем, а вместе с этим - всей электронной полупроводниковой продукции. Дело в том, что подложка диаметром 300 мм обеспечивает 225-процентное увеличение площади кремниевой пластины и 240-процентное увеличение полезного выхода чипов с каждой подложки. Кроме того, значительно улучшаются и экологические характеристики производства, которое требует меньшего расхода химических реактивов и энергии в пересчете на каждый процессор, создает меньше отходов. По данным Intel, по сравнению с заводом, работающим на 200-миллиметровых подложках, новая фабрика выбрасывает на 48% меньше летучих органических веществ, расходует на 42% меньше сверхчистой воды и примерно на 40% меньше энергии. На 50% сокращаются затраты труда.

Современные «300-мм» фабрики - это гигантские промышленные предприятия стоимостью около 2 млрд. долларов и площадью более сотни тысяч квадратных метров. Лишь немногие из современных компаний-производителей чипов (двадцатку лидеров см. во врезке на стр. 34) могут позволить себе вложения в такие дорогие фабрики. Ведь для постройки и дальнейшей эксплуатации подобных предприятий требуется достичь уровня ежегодных продаж в размере как минимум 6 млрд. долларов в расчете на каждую фабрику. Подобные фабрики принято называть «foundry» - один из переводов этого термина на русский язык означает «литейное производство». Название олицетворяет колоссальный индустриальный масштаб: ювелирный процесс изготовления высокотехнологичных элементов микропроцессоров становится на промышленный поток, масштаб которого сравним разве что с масштабом производства продукции огромными металлургическими цехами. В 2000 году, когда продажи чипов были на подъеме, всего десять компаний в мире имели объемы продаж выше 6 млрд. долларов. Из «старой гвардии» сегодня только Intel, IBM, Infineon, AMD, Texas Instruments и Samsung владеют собственными действующими фабриками по производству микросхем на 300-мм подложках. Другие создаются и управляются совместно объединениями компаний - например, «Motorola - Philips - STMicroelectronics - Taiwan Semiconductor». Несомненным лидером в планах постройки новых фабрик является Тайвань. Уже в 2001 году на острове была изготовлена пятая часть всего мирового производства подложек, а к 2010 году эта доля может достичь 40%. На пятки Тайваню наступают Китай, Малайзия и Сингапур - они планируют построить 15 фабрик, пять из которых будут работать на 300-мм пластинах.

У корпорации Intel таких действующих в промышленном масштабе фабрик уже четыре: F11X в Рио-Ранчо (штат Нью-Мексико), две - D1C и D1D - в Хиллсборо (штат Орегон) и недавно введенная в строй Fab 24 в ирландском городке Лейкслип (Leixlip). Все они могут выпускать процессоры по 90-нм технологии; пятая же, Fab 12 в Чандлере (штат Аризона) для 65-нм техпроцесса, будет переведена на 300-мм пластины к 2005 году. А, например, у AMD ввод в строй первой 300-мм фабрики Fab 36 планируется лишь в следующем году, см. обзор на www.terralab.ru/system/33692. Как полагают эксперты, существующие фабрики с 200-мм подложками смогут продержаться «на плаву» до 2005 года, после чего они уже не смогут выдержать ценовой конкуренции с 300-мм процессом. К 2005 году чипы будут делаться по технологии 65 нм, а на микропроцессорах будет интегрировано по миллиарду транзисторов! Чипы станут настолько крошечными, что позволят встраивать сотовые телефоны с голосовым набором номера в авторучку.

Почему фабрики для производства микросхем так дороги (до 5 млрд. долларов)? Полупроводниковые фабрики выполняют наиболее сложные задачи среди всех фабрик в мире. Они используют только специализированные материалы, болты, конструктивные элементы, оборудование и пр. Кроме того, интеловские фабрики, например, почти вдвое больше, чем средний размер подобных заводов в мире. Само здание стоит примерно 25% от общей стоимости фабрики и еще лет десять после постройки остается сооружением, пригодным для решения самых современных задач. Оборудование (установки для фотолитографии, газофазного осаждения, ионной имплантации) и автоматы на этаже стоят остальные 75%.

Дополнительные измерения проводятся для того, чтобы убедиться в виброустойчивости фундамента и установок. Даже если фабрика - внешне одно здание, на самом деле это несколько зданий, отделенных друг от друга набольшими (до 10 см) промежутками, и каждое здание имеет собственный фундамент. Это помогает гасить различные вибрации - как от внешних источников (автотранспорта, поездов), так и собственных вибраций оборудования.

Любопытные факты о первой 300-мм фабрике Intel Fab 11X

  • Автоматизированная система обработки подложек фабрики Fab 11X включает в себя более 5 км монорельсовых дорог и 165 кареток для доставки контейнеров с подложками на обрабатывающие центры завода.
  • Компьютерная система, обслуживающая Fab 11X, насчитывает триста серверов, полтысячи клиентских компьютеров, 25 тысяч гигабайт дисковой памяти, более 40 км оптоволоконного и более 900 км медного сетевого кабеля.
  • Fab 11 значительно превосходит по масштабам все ранее существовавшие в мире полупроводниковые производства. Общая площадь «чистых» помещений завода составляет 27 тысяч квадратных метров. С открытием Fab 11X этот показатель увеличится примерно на 18 тысяч квадратных метров.
  • На пике строительства в сооружении F11X участвовало 3 тысячи человек, отработавших в общей сложности 5,3 млн. часов. При этом уровень травматизма оказался рекордно низким - вчетверо ниже среднестатистического для строительной отрасли США.
  • Чтобы пересечь в среднем темпе все «чистые» помещения Fab 11 и Fab 11X, потребуется не менее десяти минут.
  • Затраты рабочего времени в человеко-часах на каждый день строительства F11X были выше затрат времени на строительство двух жилых домов.
  • На строительство F11X ушло около 50 тысяч кубометров (около 6700 машин) бетона. Таким количеством бетона можно было бы покрыть десятиметровым слоем футбольное поле.
  • Под заводом устроено 1300 подземных кессонов глубиной 15–25 метров каждый. На подземную часть здания ушло больше бетона, чем на надземную.
  • Первоначально берется SiO2 в виде песка, который в дуговых печах (при температуре около 1800°C) восстанавливают коксом:

    SiO2 + 2C = Si + 2CO

    Такой кремний носит название «технический» и имеет чистоту 98-99.9%. Для производства процессоров требуется гораздо более чистое сырье, называемое «электронным кремнием» - в таком должно быть не более одного чужеродного атома на миллиард атомов кремния. Для очистки до такого уровня, кремний буквально «рождается заново». Путем хлорирования технического кремния получают тетрахлорид кремния (SiCl4), который в дальнейшем преобразуется в трихлорсилан (SiHCl3):

    3SiCl4 + 2H2 + Si 4SiHCl3

    Данные реакции с использованием рецикла образующихся побочных кремнийсодержащих веществ снижают себестоимость и устраняют экологические проблемы:

    2SiHCl3 SiH2Cl2 + SiCl4
    2SiH2Cl2 SiH3Cl + SiHCl3
    2SiH3Cl SiH4 + SiH2Cl2
    SiH4 Si + 2H2

    Получившийся в результате водород можно много где использовать, но самое главное то, что был получен «электронный» кремний, чистый-пречистый (99,9999999%). Чуть позже в расплав такого кремния опускается затравка («точка роста»), которая постепенно вытягивается из тигля. В результате образуется так называемая «буля» - монокристалл высотой со взрослого человека. Вес соответствующий - на производстве такая дуля весит порядка 100 кг. Слиток шкурят «нулёвкой»:) и режут алмазной пилой. На выходе – пластины (кодовое название «вафля») толщиной около 1 мм и диаметром 300 мм.
    Слиток шкурят «нулёвкой»:) и режут алмазной пилой. На выходе – пластины (кодовое название «вафля») толщиной около 1 мм и диаметром 300 мм.

    Тут надо сделать отступление. В ближайшее время планируется переход на пластины диаметром в 450 мм, что удвоит площадь пластин. Площадь пластин чрезвычайна важна в экономическом плане. Так как весь рабочий процесс ведётся с одной пластиной, а не с её частями. А значит, чем больше на пластину влазит(чем больше её площадь и чем меньше площадь микросхемы), тем дешевле и быстрее получается производство.
    Например, на одной пластине вмещаются 160 чипов площадью 352 квадратных мм от видеокарты 7950. Или около 250 чипов размером в 250 кв мм intel broadwell. Ядро Cortex-A35, например, занимает 4 кв мм. Так что есть разница, получить за то же время с теми же усилиями 250 процессоров intel или 500.
    Кроме других преимуществ в виде производительности и энергопотребления более совершенный техпроцесс позволяет уменьшить площадь микросхемы, значит можно разместить больше чипов на пластине и микросхема выйдет дешевле.
    Надо ещё отметить тот факт, что Китай подсадил весь мир на свои пластины. Даже интел их не производит, а закупает.

    2.
    На кремниевую подложку\вафлю наносят слой материала, из которого нужно сформировать рисунок. На него наносится фоторезист - слой полимерного светочувствительного материала, меняющего свои физико-химические свойства при облучении светом. Потом производится экспонирование (освещение фотослоя в течение точно установленного промежутка времени) через фотошаблон\маску.


    и удаление отработанного фоторезиста.


    Весь процесс выглядит примерно так:


    Операцию печати рисунка (с разными масками) нужно повторить от ~10 (для самых простых и старых микросхем) до ~40 раз чтобы сформировать все нужные слои на микросхеме (начиная от самих транзисторов, и заканчивая 2–10 слоями металлических соединений). Между операциями фотолитографии пластины подвергаются различной обработке - их греют в печке до 1100 градусов, травят в растворах и плазме.

    На данный момент оптическая литография столкнулась с пределом роста на 57 нм из-за длины волны лазеров. Применяя хитрости вроде фазовых масок, многократной экспозиции, оптической коррекции близости, off-axis illumination, поляризации света - получают минимальные элементы до 22нм.
    В кулуарах конференции Tae-Seung Eom, представляющий компанию Hynix Semiconductor, печально поведал: “Я не хочу разрабатывать двойное паттернирование, но мой босс заставляет. Это просто убивает меня”. “Шаблоны для двойного паттернирования – вот, что не дает мне спать по ночам” - сказал в дискуссии за круглым столом Harry Levinson, руководитель разработок литографических технологий компании AMD. “Но как раз это позволяет мне спокойно спать ночами” - парировал Bert Jan Kamperbeek из компании Mapper Lithography, о которой речь пойдёт немного позже. А сейчас применяется четырёхкратное паттернирование, что ещё более сложно и дорого. Чтобы вы понимали, двойное паттернирование требует два фотошаблона и экспонирования.
    Способ литографии на жестком ультрафиолете EUV даёт теоретический максимум в 16 нм.

    Сколько стоит сделать процессор?
    А теперь немножечко о стоимости процесса. Тут самым интересным является изготовление масок.
    Они не должны иметь ни одного повреждения, и их изготовление обходится очень дорого: от ~7"000$ за комплект для микросхем на 1000нм, ~100"000$ для микросхем на 180нм и до ~5"000"000$ для микросхем на 32нм. А ведь микросхема с первого раза скорее всего не заработает - и после нахождения ошибки маски придётся переделывать. Частично с этой проблемой можно бороться размещая тестовые микросхемы от многих заказчиков на одном наборе масок - тогда все получат по чуть–чуть тестовых микросхем за 1/3–1/10 цены полного набора масок (это называют Shuttle или MPW - multi project wafer).
    Сколько же должен стоить такой процессор, если производство мелкосерийное? Если сравнивать с процессором интел, которых влезает около 250 на пластину, то 5 000 000$ делим на 250 - 20 000 баксов на чип только за маски! А если они не удались с первого раза, то 40 000! За микросхему, Карл! Чтобы выйти на уровень 20 баксов за чип, надо продать 250 тысяч чипов! А ведь мы ещё не учли стоимость разработки, техпроцесса, корпусировки. Только маски!
    По слухам каждая произведённая пластина стоит от 100–400$ для старых технологий на 1000нм, ~1000$ на 180нм и до ~5000$ для самых современных (помимо нанометров тут оказывает влияние и сложность технологии - простая логика дешевле, флеш память дороже, но не в разы).
    Чтобы всё это окупить, надо клепать просто дикие масштабы и иметь достаточно ёмкий рынок, куда все эти миллионы микросхем можно слить. Именно поэтому военка и космос стоят космических денег.

    3. Разрезание пластины. Упаковка на подложку и корпусировка.



    Без комментариев. Хотя перспективно было бы делать теплораспределительные крышки из композитов меди и графена .

    И так с производством и основными проблемами слегка разобрались.

    Сколько стоит фабрика
    Давайте посмотрим, во сколько примерно обойдётся заиметь свой заводик по клепанию чипов.
    Для постройки фабрики требуется около 3 лет и порядка $5млрд (10 млрд за завод с 450 мм вафлями)– именно эту сумму должен будет «отбить» завод в последующие 4 года (к тому времени как появятся новые технологический процесс и архитектура, а прибыль будет переть только по последнему техпроцессу, устаревшие технологии идут по себестоимости; необходимая для этого производительность – порядка 100 рабочих кремниевых пластин в час ).
    Несмотря на всю сложность индустрии, только монополисты работают с видимой прибылью (TSMC, Intel, Samsung и немногие другие), остальные еле сводят концы с концами. Мало кто может себе позволить выпускать чипы. Ещё меньше тех, кто умеет делать маски.
    По всему миру микроэлектроника жесточайше дотируемая отрасль - заводы постоянно выклянчивают освобождение от налогов, льготные кредиты и демпингуют (в Китае пошли ещё дальше - Semiconductor заводы строит за государственный счёт, и потом ими «управляет» - это у них называется Reverse Build-Operate-Transfer). После появления каждой новой технологии (45нм, 32нм...) - первые заводы-монополисты обладающие ей и рубят основную прибыль, а те, кто приходят на 2-5-10 лет позже старта - вынуждены работать практически по себестоимости. В результате денег тут заработать крайне сложно (без монополии и без дотаций).

    Проблемы ВПК и космоса.
    Как видите, заниматься производством электроники, не имея ёмкого рынка просто невыгодно.
    Но что делать военным и Роскосмосу? Ведь у них очень малые заказы и особые требования.
    Такие микросхемы обходятся буквально в космические суммы, когда кусочек кремния может стоить дороже всего танка.
    Это приводит даже к таким анекдотичным проблемам, когда американский F–22 Raptor по прозвищу "золотой" (ибо стоит на вес золота) до недавнего времени летал на процессоре Intel 960mx, разработанном в 1984–м году !
    Можете представить, как дела обстоят у нас. И не удивляйтесь, почему наша техника устарела, а Система Управления Огнём стоит дороже танка и покупается у французов.
    У военных и космонавтов (в России всё перемешано) нет нужды в больших сериях, но у них есть особые требования.

    Это повышенные требования к надёжности кристалла и корпуса, устойчивости к вибрациям и перегрузкам, влажности, большой температурный диапазон.

    В США микросхемы разделяются на коммерческие с диапазоном от 0...70 градусов по Цельсию и индустриальные и военные с диапазоном -40...125С. Не прошедшие проверку понижаются в звании и маркируются, как коммерческие.
    Военные по старой привычке очень ценят керамические корпуса. В советские времена пластик не переносил термоциклирование, был пористый, набирал влагу, плохо переносил мороз. Сейчас этих проблем нет.
    Керамика дороже, меньше вибростойкость и в целом от больших ускорений проволока, которой контактные площадки на кристалле соединены с выводами микросхемы, может отвалится (в пластиковом корпусе проволока «поддерживается» по всей длине пластиком).
    Однако на гражданском рынке нет керамических корпусов. Этакая защита от подделок.

    Ну и отдельно рассмотрим космические требования.
    Когда гамма и рентгеновское излучение (в том числе вторичное, полученное из-за столкновения электронов с корпусом аппарата) проходит через микросхему - в подзатворном диэлектрике транзисторов начинает постепенно накапливаться заряд, и соответственно начинают медленно изменятся параметры транзисторов - пороговое напряжение транзисторов и ток утечки. Обычная гражданская цифровая микросхема уже после 5000 рад может перестать нормально работать (впрочем, человек может перестать работать уже после 500-1000 рад). На низкой орбите 300-500км (там где и люди летают) годовая доза может быть 100 рад и менее, соответственно даже за 10 лет набранная доза будет переносима гражданскими микросхемами. А вот на высоких орбитах >1000km годовая доза может быть 10"000-20"000 рад, и обычные микросхемы наберут смертельную дозу за считанные месяцы. Шанс получить ошибку в конкретном транзисторе пропорционален его объему, а он быстро уменьшается с уменьшением технологии (т.к. транзисторы становятся не только меньше по площади, но и тоньше). Помимо этого, отмечено аномальное увеличение радиационной стойкости с современными толщинами подзатворных диэлектриков (3нм и менее). В целом, на современных стойких тех.процессах (65нм и менее) рутинно получаются микросхемы выдерживающие дозу облучения в 1млн рад , что превышает все разумные требования по стойкости.

    Кроме того существуют Тяжёлые Заряженные Частицы.
    ТЗЧ имеют такую высокую энергию(никакая свинцовая защита не поможет от этого снаряда), что «пробивают» микросхему насквозь (вместе с корпусом спутника), и оставляют за собой «шлейф» заряда. В лучшем случае это может привести к программной ошибке (0 стать 1 или наоборот - single-event upset, SEU), в худшем - привести к тиристорному защелкиванию (single-event latchup, SEL). У защелкнутого чипа питание закорачивается с землей, ток может идти очень большой, и привести к сгоранию микросхемы. Если питание успеть отключить и подключить до сгорания - то все будет работать как обычно. Возможно именно это было с Фобос-Грунтом - по официальной версии не-радиационно-стойкие импортные микросхемы памяти дали сбой уже на втором витке, а это возможно только из-за ТЗЧ (по суммарной набранной дозе излучения на низкой орбите гражданский чип мог бы еще долго работать).
    Методы борьбы:
    1) Следить за потребляемым током, и быстро передергивать питание
    2) Использовать микросхемы на сапфировой подложке (Silicon-on-sapphire, SOS, в более общем виде Silicon-on-insulator, SOI).Пластины кремний-на-сапфире стоят дорого, обрабатывать их сложно, и они имеют ограниченное применение в гражданском секторе - соответственно производство получается дорогим.
    3) Использовать так называемый triple-well процесс - он также очень сильно снижает возможность защелкивания микросхемы за счет дополнительной изоляции транзисторов pn-переходом, но не требует каких-то особенных пластин или оборудования и соответственно само производство намного дешевле кремния на сапфире.
    4) Для исключения программных ошибок блоки могут дублироваться по три блока и ответ принимается таким, каким выдали два блока из трёх.

    Как мы видим, никакого особого космоса тут нет и микросхемы производятся обычным техпроцессом с небольшими особенностями.

    Подведём итоги:
    1. Фотолитография достигла своего технологического потолка и дальнейшее повышение техпроцесса связано с ухищрениями и технологическими трудностями.
    2. Производство мелкой серии стоит космических денег. А значит нужен крайне ёмкий рынок, который не может обеспечить РФ.
    3. Прибыль идёт в основном только первые пару лет, пока кто-то не введёт новые технологические нормы. Дальше идёт работа по себестоимости. Область крайне дотационная, ибо маржу имеют всё-таки не с чипа, а конечного продукта, например бытовой техники. Так что от мечтаний сказочно разбогатеть, продавая русские микросхемы, придётся отказаться.

    Как видим, производство микропроцессоров не может развиваться в России по причине мелкого рынка, а посему приходится закупать, что дают, в Китае, который клепает миллиарды чипов на многочисленных фабриках.
    Военные вынуждены покупать свои игрушки за границей по конским ценникам, с дипломатическими проблемами и без всяких гарантий. Именно поэтому мы видим иностранные СУО сомнительного качества на наших танках, которые стоят дороже самих танков. Кроме того у наших "партнёров" есть замечательная возможность скинуть нам брак и неликвид, совершенно негодный для военных действий. Как известно, надежность - уже лет 10 как является результатом компромисса со скоростью и тепловыделением. И пути повышения и снижения надежности очень хорошо изучены: достаточно например не добавлять 1% меди в алюминиевые соединения, или отжигать микросхему не в дейтерии, а в водороде - и срок службы сократиться в 10 раз. Обнаружит ли это тестирование - еще вопрос.

    То есть, электронная литография снимает технологический барьер по тонким техпроцессам .
    Кроме того, электронным пучком можно управлять. Как на 3Д-принтере, только отклоняют его не механическим способом. И он, как зд-принтер или луч развёртки на экране, способен сформировать любую структуру. А это значит, что маска больше не нужна .
    Единичные микросхемы для экспериментов учёных уже давно делаются таким образом.

    Однако, в промышленности этот метод не используют. Почему?
    Вечная проблема всех 3D-принтеров. Скорость работы.
    Насколько медленно?
    Для типичных хороших электрон-резистов - экспозиция получается порядка 30 микрокулон на квадратный сантиметр. Это значит, что один луч с током 10nA (10 нанокулон в секунду) засветит 300мм пластину площадью 706 см2 за 706*30/(10*0.001) = 24 дня
    Грубо говоря, 10 процессоров в сутки. Ни о чём. Ваще.

    Но выход есть!
    Выход нашла нидерландская фирма Mapper Litography . Она предлагает распараллелить электронный пучок на множество пучков, каждый из которых будет открываться\закрываться и отклоняться в пределах 2 микрометров.
    У Mapper - один мощный источник электронов(энергия 5kV, то есть рассеяние будет ещё ниже, чем на ранее приведённом рисунке), коллиматор (электростатическая линза, фокусирующих их так, чтобы получался широкий параллельный пучок электронов). Затем этот широкий пучок попадает на матрицу бланкеров (на фото справа) - фактически пластина с дырками, у одной из стенок которых - отклоняющий электрод. Когда на электрод подают напряжение - электроны отклоняются и не попадают дальше никуда. Если тока нет - так параллельным пучком и летят дальше.


    Слева - Mapper, справа - обычный электронный микроскоп.
    Для каждого луча\дырки идут индивидуальные дефлекторы, которые могут отклонять каждый луч примерно на 2 микрометра вдоль одной оси (перпендикулярно движению пластины). И наконец - для каждого луча своя электростатическая линза для фокусировки.
    Управляют отклоняющими электродами с помощью лазера, видимо чтобы проводники не вносили искажений в «не свои» каналы.

    В результате такую систему намного проще масштабировать - все эти микропластинки с «дырками» изготавливаются по уже отработанным MEMS техпроцессам на серийных заводах, и при необходимости их можно масштабировать и дальше. Электронная оптика максимально упрощена (=удешевлена) - за счет того, что отклонять каждый луч нужно на совсем небольшое расстояние (2 микрона), да еще и вдоль одной оси.
    В начале 2012 года в рамках программы IMAGINE компания MAPPER достигла размерности элементов 22 нм, что соответствует следующим технологическим стандартам в микроэлектронике - 14 нм и 10 нм. Вполне современно, как по мне.
    Модель Matrix 1.1, имеет 1300 лучей и обрабатывает одну вафлю за час.
    Модель Matrix 10.1, имеет 13 260 лучей и обрабатывает 10 вафель в час.
    Для достижения промышленной производительности предлагается объединять десять установок в кластер.
    А это уже серьёзно. По взрослому.

    Цена вопроса:
    Производитель ориентируются на стоимость, сравнимую с EUV сканерами из расчета на 1 пластину в час (~500тыс$/wph). Т.к. максимальная производительность у Mapper на одной установке получается 10 пластин в час, для получения тех же ~100 пластин в час - систему предлагается ставить в нескольких экземплярах.
    То есть, одна установка на 10 пластин в час стоит примерно 5 млн долларов, а кластер - всего 50 млн долларов. Вполне доступно, как по мне.
    Впрочем, тут утверждается, что прогнозируемая стоимость установок E-beam (€50–60 млн) . Надеюсь, это за кластер. Впрочем, и пол-миллиарда не астрономическая сумма в масштабах страны за свою электронику.
    Когда же система пойдет в серийное производство - можно ожидать дальнейшего снижения стоимости, т.к. тут нет самых больных мест оптической фотолитографии - источника света (и EUV и ArF лазеры стоят больших денег), сложного и чудовищно дорогого объектива и фотошаблонов, которые нужно изготавливать для каждого нового типа изготовляемых микросхем. А электронная микрооптика - изготовляется серийно хоть в миллионе экземпляров без проблем.

    А группа китайских учёных из Канады предложила способ использования крайне дешёвого полистирола в качестве фоторезиста в электронно-лучевой литографии, позволяя создавать 3D объекты до 1.5 микрон высотой при необычайно малой толщине (ниже сотни нанометров).
    При этом повышается чувствительность, а вместе с ней и производительность всего метода в целом, так как требуется меньше времени на создание одного «пикселя» и увеличивается производительность. Суть предложенного метода заключается в том, что полистирол наносится на подложку за счёт термического испарения, а затем после воздействия электронного пучка экспонированную область можно легко растворить смесью ксилолов.


    К тому же, при желании можно одновременно «рисовать» на изогнутых поверхностях и даже создавать волноводы на таких поверхностях.

    Наши возможности.
    Инвесторами являются TSMC (Тайвань), STMicroelectronics (Франция) и...Роснано .
    В России в 2014 году приступил к работе завод МЭМС(Микроэлектромеханические системы), построенный специально для этих целей и выпускающий электроннооптическую часть данного литографа. Кроме того, такой завод может производить кучу важных датчиков, например, акселерометров, гироскопов, магнитных, барометрических и даже DLP-чипов для проекторов.

    То есть насадка на электронный микроскоп, превращающий его в литограф, у нас есть. Но я не слышал о российских электронных микроскопах. Зато слышал об украинских . А это значит, что теоретически мы могли бы производить данные литографы для себя сами, даже в условиях автаркии.

    Возможности и перспективы.
    Применение данной технологии означает только одно: отныне имеет важность и стоимость только площадь чипа.
    Что это значит?
    Это значит, что каждый производитель сможет разработать свой чип с минимальным энергопотреблением, в котором не будет ничего лишнего. Ему больше не нужны универсальные контроллеры. Ему не важен объём заказа. Хоть одна микросхема. Стоимость имеет только площадь чипа и исследовательские работы.

    Я уже вижу этакий электронный магазин IP-ядер. Где ты выбираешь себе части, которые будут в микросхеме. Вот ядро arm или mips процессора, вот видеоядро, вот вайфай, вот usb 3.0. Ты не рассматриваешь, какой чип из существующих тебе подходит. Ты создаёшь чип под себя, наполняя его стандартными элементами.

    Для инженеров это значит, что в любой момент чип можно исправить или улучшить. И не надо тратить сотни тысяч на новую маску. А это значит, что развитие техники пойдёт быстрее. Модными станут опенсорс-ядра, они начнут стремительно развиваться. Проверить новую идею или архитектуру в железе будет стоить буквально копейки. При этом все микросхемы будут изготавливаться по самому совершенному техпроцессу.

    Для военных и космоса это означает, что всю электронику можно и нужно будет переделать под свои задачи, учитывая особенности применения в архитектуре. Вся электроника танка или самолёта может быть интегрирована в одну специализированную микросхему, где данными радара будет заниматься большое множество примитивных, заточенных под задачу, параллельных процессоров, а общее "руководство" будет на сложных производительных ядрах общего применения. И всё это будет интегрировано в один чип по цене обычного гражданского процессора. СУО, которая стоит пол-танка станет стоить копейки. ВПК кардинально преобразуется, станет более интеллектуальным, производительным, гибким.

    Что приятно, так это возможность постепенно наращивать производство, поставив в кластер сначала 2 машины, потом ещё одну-две-три, сколько угодно. Это очень удобно для нашего маленького рынка и плановой экономики. При этом производство будет сразу по самым современным нормам.

    Итого, мы имеем чрезвычайно гибкое производство по последним технологическим нормам(с запасом), которое легко масштабируется и совсем не зависит от величины серии микросхем. Идеально для автаркии!

    Мальчики и девочки, это и есть новый техноуклад! Когда производство индивидуальных вещей ничуть не отличается от массовой штамповки. Это индустрия 4.0, детка! Эта та самая основа технологического могущества страны, с которой и надо бы начинать строительство сверхдержавы.
    И лучше потратить полмиллиарда или даже 10 млрд на это, чем 2,5 в год на ролс-ройсы и или 6 млрд в месяц на облигации госдолга США .

    Где размещать производство?

    К сожалению, перенос структуры на подложку является только одной частью техпроцесса. Основной же стоимостью фабрики являются именно "чистые помещения". Кроме чистых помещений ещё требуются установки по осаждению металлов и тд и тп.
    Так что нельзя поставить по одной установке в каждом городе и сделать распределённую промышленность, как я бы хотел. Ибо концентрированная промышленность уязвима для ударов противника, диверсий и саботажа.
    Однако, это оборудование может быть установлено с минимальными затратами на предприятиях с устаревшим оборудованием. И если Микрон выходит на 65 нм и пока в модернизации не сильно нуждается, то вот эти производства можно модернизировать смело, Родина много не потеряет.

    Ангстрем-Т
    Хрен поймёшь, что с ним. Вроде ещё не окончен. Обещают 90нм. Так как он в процессе, самое время лепить туда электронную литографию. и делать его основным центром производства.

    НИИСИ РАН
    Или как его еще называют - «Курчатник». Нормы 350 нм. Объём производства - считанные пластины в день, работает на оборонку. Думаю, что одного такого литографа на 10 пластин в час для армии достаточно.
    Но завод должен контроллироваться полностью военными специалистами, которые бы следили за техпроцессом, содержанием меди, дейтерием и прочими технологическими требованиями за качеством микросхем.

    Ангстрем («старый»)
    Производство с нормами 600нм на пластинах диаметром 150мм (8тыс пластин в месяц) и 100мм, 1200нм кремний-на-сапфире/карбид кремния (4тыс. пластин в месяц).

    Интеграл.
    Долгое время Белорусский Интеграл обладал технологией 800нм, но несколько лет назад наконец смогли запустить 350нм производство на пластинах 200мм, с объемом производства 1000 пластин в месяц. Также есть своё производство «чистых» пластин диаметром 200мм для 350нм линейки.

    Технология изготовления интегральных микросхе

    Производство интегральных микросхем состоит из ряда операций, выполняя которые постепенно из исходных материалов получают готовое изделие. Количество операций технологического процесса может достигать 200 и более, поэтому рассмотрим только базовые.

    Эпитаксия - это операция наращивания на подложке монокристаллического слоя, повторяющего структуру подложки и ее кристаллографическую ориентацию. Для получения эпитаксиальных пленок толщиной от 1 до 15 мкм обычно применяется хлоридный метод, при котором полупроводниковые пластины после тщательной очистки поверхности от различного рода загрязнений помещают в кварцевую трубу с высокочастотным нагревом, где пластины нагреваются до 1200±3 оС. Через трубу пропускают поток водорода с небольшим содержанием тетрахлорида кремния. Образующиеся при реакции атомы кремния занимают места в узлах кристаллической решетки, из-за чего растущая пленка продолжает кристаллическую структуру подложки. При добавлении в смесь газов газообразных соединений доноров наращиваемый слой приобретает дырочную проводимость.

    Легирование - это операция введения примесей в подложку. Существуют два метода легирования: диффузия примесей и ионная имплантация.

    Диффузия примесей представляет собой обусловленное тепловым движением перемещение частиц в направлении убывания их концентрации. Основной механизм проникновения примесных атомов в кристаллическую решетку состоит в их последовательном перемещении по вакансиям решетки. Диффузия примесей осуществляется в кварцевых печах при температуре 1100-1200 оС, поддерживаемой с точностью ±0,5 оС. Через печь пропускается нейтральный газ-носитель (N2 или Аг), который переносит частицы диффузанта (В2О3 или Р2О5) к поверхности пластин, где в результате химических реакций выделяются атомы примесей (В или Р), которые диффундируют вглубь пластин.

    Ионное легирование широко используется при создании БИС и СБИС. По сравнению с диффузией процесс ионного легирования занимает меньше времени и позволяет создавать слои с субмикронными горизонтальными размерами, толщиной менее 0,1 мкм, с высокой воспроизводимостью параметров.

    Термическое окисление применяется для получения тонких пленок диоксида кремния SiО2, оно основано на высокотемпературных реакциях кремния с кислородом или кислородосодержащими веществами. Окисление происходит в кварцевых печах при температуре 800-1200 оС с точностью ±1 оС..

    Травление применяется для очистки поверхности полупроводниковых пластин от различного рода загрязнений, удаления слоя SiО2, также для создания на поверхности подложек канавок и углублений. Травление может быть как жидкостным, так и сухим.

    Жидкостное травление осуществляется с помощью кислоты, либо щелочи. Кислотное травление применяют при подготовке пластин кремния к изготовлению структур микросхем с целью получения зеркально гладкой поверхности, а также для удаления пленки SiО2 и формирования в ней отверстий. Щелочное травление применяют для получения канавок и углублений.

    Литография - это процесс формирования отверстий в масках, применяемых для локальной диффузии, травления, окисления и других операций. Существует несколько разновидностей этого процесса.

    Фотолитография основана на использовании светочувствительных материалов - фоторезистов, которые могут быть негативными и позитивными. Негативные фоторезисты под действием света полимеризуются и становятся устойчивыми к травителям. В позитивных фоторезистах свет, наоборот, разрушает полимерные цепочки, поэтому засвеченные участки фоторезиста разрушаются травителем. При производстве ППИС слой фоторезиста наносят на поверхность SiО2, а при производстве ГИС - на тонкий слой металла, нанесенный на подложку, или на тонкую металлическую пластину, выполняющую функции съемной маски.

    Необходимый рисунок элементов ИС получают путем облучения фоторезистасветом через фотошаблон, представляющий собой стеклянную пластину, на одной из сторон которой имеется позитивный или негативный рисунок элементов ИС в масштабе 1:1. При производстве ИС используется несколько фотошаблонов, каждый из которых задает рисунок тех или иных слоев (базовых и эмиттерных областей, контактных выводов и т. д.).

    После облучения светом неполимеризованные участки фоторезиста удаляются травителем и на поверхности SiО2 (или металлической пленки) образуется фоторезистивная маска, через отверстия в которой осуществляют травление SiО2 (или металлической пленки), в результате чего рисунок фотошаблона оказывается перенесенным на поверхность подложки.

    Рентгеновская литография использует мягкое рентгеновское излучение с длиной волны около 1 нм, что позволяет получить D » 0,1 мкм. Фотошаблон в этом случае представляет собой такую мембрану (около 5 мкм), прозрачную для рентгеновских лучей, на которой методом электронно-лучевой литографии создан рисунок элементов ИС.

    Ионно-лучевая литография использует облучение резиста пучком ионов. Чувствительность резиста к ионному облучению во много раз выше, чем к электронному, что позволяет использовать пучки с малыми токами и соответственно малым диаметром (до 0,01 мкм). Система ионно-лучевой литографии технологически совместима с установками ионного легирования.

    Интеграмльная (микро)схемма (ИС, ИМС, м/сх, англ. Integrated circuit, IC, microcircuit), чип, микрочимп (англ. microchip, silicon chip, chip) -- тонкая пластинка, отколотая, отсечённая от чего-либо -- первоначально термин относился к пластинке кристалла микросхемы) -- микроэлектронное устройство -- электронная схема произвольной сложности, изготовленная на полупроводниковом кристалле (или плёнке) и помещённая в неразборный корпус.

    Часто под интегральной схемой (ИС) понимают собственно кристалл или плёнку с электронной схемой, а под микросхемой (МС) -- ИС, заключённую в корпус. В то же время выражение «чип компоненты» означает «компоненты для поверхностного монтажа», в отличие от компонентов для традиционной пайки в отверстия на плате. Поэтому правильнее говорить «чип микросхема», имея в виду микросхему для поверхностного монтажа. На 2009 год большая часть микросхем изготавливается в корпусах для поверхностного монтажа.

    Современные интегральные микросхемы, предназначенные для поверхностного монтажа

    Советские и зарубежные цифровые микросхемы

    Технология производства полупроводниковых приборов и интегральных микросхем

    Технология полупроводникового производства базируется в настоящее время на таких сложных прецизионных процессах обработки, как фото- и электронолитография, оксидирование, ионно-плазменное распыление, ионная имплантация, диффузия, термокомпрессия и др. К материалам, используемым в производстве приборов и микросхем, предъявляют высокие требования по чистоте и совершенству структуры. Для осуществления большинства технологических операций используют уникальное по характеристикам оборудование: оптико-механическое, термическое, ионно-лучевое. Процессы осуществляются в -специальных обеспыленных, помещениях с заданными влажностью и температурой.

    Технологический маршрут

    Технологический маршрут -- это последовательность технологических операций обработки полупроводниковых пластин, применяемых для изготовления данного типа ПП или ИМС. Документом, содержащим описание маршрута, -является маршрутная карта. Она позволяет судить о перемещении изготовляемого прибора по всем операциям, указывает оборудование, материалы, трудовые нормативы и средства контроля. Проведение каждой технологической операции"регламентируется операционной картой, содержащей описание операции с указанием технологических режимов изготовления структуры или прибора и технологической оснастки. Технологические процессы изготовления различных ПП и ИМС многообразны. Можно выделить ряд общих технологических операций и примерно одинаковую их последовательность. Типовым маршрутом изготовления пленарного ПП или ИМС определяется последовательность из ряда основных операций.

    1. Подготовка пластин. Исходные полупроводниковые пластины-- эпитаксиальные структуры, например я-я+-типа, или монокристаллические подложки с электропроводностью п- или р-типа, полученные в качестве полуфабриката с завода-изготовителя, подвергают очистке, промывке, травлению с целью удаления с поверх-1 ности пластин загрязнений и частиц пыли. Слой с электропроводностью я-типа в эпитаксиальной я-я+-структуре составит в будущих транзисторах коллекторную область (рис. 1.1, а)..

    2. Создание топологического рисунка. Чтобы в эпитаксиальной структуре сформировать области с электропроводностью р-типа, необходимо обеспечить проведение локальной диффузии через окна -- отверстия в защитной маске. Размеры этих окон задают с помощью процесса фотолитографии. Маской, препятствующей диффузии, служит пленка диоксида кремния. Выращивание ее является необходимой стадией планарного процесса. Пленка диоксида 7 кремния Si02 толщиной 0,3--1,0 мкм надежно предохраняет структуру от воздействия многих внешних факторов и диффузии примесей. На пленку наносят слой фоторезиста -- фотоэмульсии, экспонируют его ультрафиолетовым светом через фотошаблон, содержащий множество идентичных изображений баз транзисторов с ваданной конфигурацией и размерами. Засвеченные участки фоторезиста проявляются и обнажившуюся пленку Si02 удаляют. Окно, вскрытое для базовой диффузии, показано на рис. 1.1, б.

    3. Получение р-п-перехода база-- коллектор. Для прецизионной дозировки количества вводимой в кристалл примеси -- атомов бора при создании области р-базы -- используют процесс ионной имплантации, заключающийся во внедрении ускоренных ионов в поверхность кристалла. Слой фоторезиста служит защитной маской, так как ионы, внедренные в фоторезист, не достигают поверхности диоксида. Чтобы сформировать базовую область и р-п-пере-ход коллектор -- база на требуемой глубине, используют последующую диффузионную разгонку внедренных атомов бора. Ее проводят в окислительной среде при высоких температурах. В результате формируется область базы с глубиной 2--3 мкм и на поверхности базовой области наращивается пленка Si02 толщиной 0,3--0,5 мкм (рис. 1.1, в).

    4. Получение p-n-nepexoda эмиттер -- база. Вначале формируют топологический рисунок эмиттерных областей, используя процесс фотолитографии по пленке Si02 над базовой областью. Одновременно вскрывают окна, задающие конфигурацию коллекторных 8 контактов. Фоторезист удаляют и ведут диффузию фосфора с высокой концентрацией на малую глубину (до 1--1,5 мкм) (рис. 1.1, г).

    5. Контактная металлизация. Для присоединения к областям эмиттера, базы и коллектора электрических выводов необходимо металлизировать поверхности контактов. Предварительно проводят фотолитографическую обработку структуры для удаления пленки диоксида с нужных участков. Затем с помощью термического испарения в вакууме на всю поверхность пластины напыляют слой металла (например, алюминия) толщиной около 1 мкм, по которому проводят еще один процесс фотолитографии для удаления лишнего металла между областями контактов. Структура с контактной металлизацией показана на рис. 1.1, д. При изготовлении ИМС аналогичным образом создают тонкопленочные пассивные элементы-- резисторы, конденсаторы, а также осуществляют коммутацию транзисторов.

    6. Сборка и герметизация. Пластина содержит от нескольких сотен до десятков тысяч отдельных транзисторов. Ее разрезают на отдельные структуры, называемые на данном этапе кристаллами. На рис. 1.1, е показана топология такого кристалла с контактной металлизацией. Кристалл напаивают на кристаллодержатель, осуществляют разводку -- подсоединение электрических выводов к контактам базы, эмиттера и коллектора -- и герметизируют, помещая в металлический корпус или заливая пластмассой.

    7. Испытания приборов. Для оценки параметров и надежности приборов до их поступления в отдел технического контроля производят электрические, климатические и механические испытания. Они важны для правильной информации о качестве и надежности приборов. Помимо этого каждая технологическая операция сопровождается контролем качества обработки, например измерением глубины диффузии, толщины эпитаксиального слоя, удельного или поверхностного сопротивления. После того как в структуре созданы?-?-переходы, производят контроль электрических параметров-- напряжения пробоя, тока утечки, емкости. В технологическом маршруте предусмотрены специальные контрольные карты.

    Рассмотренная последовательность операций характерна для изготовления планарно-эпитаксцального транзистора. В основе классификации приборов лежит технологической метод создания активных областей структуры. По этому признаку различают сплавные, диффузионные, эпитаксиальные, имплантационные дискретные ПП, а также их модификации, например сплавно-диффу-зионные и др. Большинство современных приборов изготовляют на эпитаксиальных структурах. Активные области формируют с помощью ионной имплантации и диффузии. МОП-транзисторы изготовляют на монокристаллических подложках без эпитаксиального слоя методами планарной. технологии. Непланарные диффузионные и эпитаксиальные переходы используют при изготовлении силовых Диодов и транзисторов.

    Степень интеграции.

    Были предложены следующие названия микросхем в зависимости от степени интеграции (указано количество элементов для цифровых схем):

    Малая интегральная схема (МИС) -- до 100 элементов в кристалле.

    Средняя интегральная схема (СИС) -- до 1000 элементов в кристалле.

    Большая интегральная схема (БИС) -- до 10000 элементов в кристалле.

    Сверхбольшая интегральная схема (СБИС) -- до 1 миллиона элементов в кристалле.

    Ультрабольшая интегральная схема (УБИС) -- до 1 миллиарда элементов в кристалле.

    Гигабольшая интегральная схема (ГБИС) -- более 1 миллиарда элементов в кристалле.

    В настоящее время название ГБИС практически не используется (например, последние версии процессоров Pentium 4 содержат пока несколько сотен миллионов транзисторов), и все схемы с числом элементов, превышающим 10 000, относят к классу СБИС, считая УБИС его подклассом.

    Технология изготовления.

    Полупроводниковая микросхема -- все элементы и межэлементные соединения выполнены на одном полупроводниковом кристалле (например, кремния, германия, арсенида галлия).

    Плёночная микросхема -- все элементы и межэлементные соединения выполнены в виде плёнок:

    · толстоплёночная интегральная схема;

    · тонкоплёночная интегральная схема.

    Гибридная микросхема -- кроме полупроводникового кристалла содержит несколько бескорпусных диодов, транзисторов и(или) других электронных компонентов, помещённых в один корпус.

    Вид обрабатываемого сигнала.

    Аналоговые

    Цифровые

    Аналого-цифровые

    Аналоговые микросхемы -- входные и выходные сигналы изменяются по закону непрерывной функции в диапазоне от положительного до отрицательного напряжения питания.

    Цифровые микросхемы -- входные и выходные сигналы могут иметь два значения: логический ноль или логическая единица, каждому из которых соответствует определённый диапазон напряжения. Например, для микросхем ТТЛ при питании +5 В диапазон напряжения 0…0,4 В соответствует логическому нулю, а диапазон 2,4…5 В соответствует логической единице. Для микросхем ЭСЛ-логики при питании?5,2 В: логическая единица -- это?0,8…?1,03 В, а логический ноль -- это?1,6…?1,75 В. Аналого-цифровые микросхемы совмещают в себе формы цифровой и аналоговой обработки сигналов. По мере развития технологий получают всё большее распространение.


    Рис. 1 Информационно-логическая модель проектирования радиоэлектронных устройств


    Рис. 2 Детализация блока «Разработка структуры РЭУ с применением комплексного моделирования»


    Рис. 3. Детализация блока «Комплексное моделирование физических процессов в РЭУ»


    Рис. 4. Детализация блока «Исследование надёжности РЭУ»

    Технология изготовления микросхем

    Все элементы ИС и их соединения выполнены в едином технологическом цикле на общей подложке.

    Технологические процессы:

    а) наращивание полупроводникового материала на кремниевой подложке;

    б) термическое окисление кремния для получения слоя окисла SiO 2 , защищающего поверхность кристалла от внешней среды;

    в) фотолитография, обеспечивающая требуемые конфигурации пленок(SiO 2 , металл и т.п.) на поверхности подложки;

    г) локальная диффузия – перенос примесных атомов в ограниченные области полупроводника (в настоящее время – ионная имплантация легирующего вещества);

    д) напыление тонких (до 1 мкм) пленок;

    е) нанесение толстых (более 1 мкм) пленок путем использования специальных паст с их последующим вжиганием.

    ИС изготавливаются методами интегральной технологии , имеющей следующие отличительные особенности :

    1. Элементы, однотипные по способу изготовления, представляют собой или полупроводниковые p-n структуры с несколькими областями, различающиеся концентрацией примесей или пленочные структуры из проводящих, резистивных и диэлектрических пленок.

    2. Одновременно в едином технологическом цикле изготавливается большое количество одинаковых функциональных узлов, каждый из которых, в свою очередь, может содержать до сотен тысяч и более элементов.

    3. Сокращается количество технологических операций (сборка, монтаж элементов) на несколько порядков по сравнению с традиционными методами производства аппаратуры на дискретных элементах.

    4. Размеры элементов и соединений между ними уменьшаются до технологически возможных пределов.

    5. Низконадежные соединения элементов, выполненные с помощью пайки, исключаются и заменяются высоконадежными соединениями (путем металлизации).

    Последовательность основных этапов построения полупроводниковой ИС :

    1. Выращивание кристалла кремния.

    2. Разрезка на пластины (200…300мкм, Ø 40 – 150мм).

    3. Очистка поверхности пластин.

    4. Получение элементов и их соединений на пластине.

    5. Разрезка пластин на отдельные части (кристаллы).

    6. Закрепление в корпусе.

    7. Подсоединение выводов с контактными площадками.

    8. Герметизация корпуса.

    Пр. Фотолитография :

    1. Очистка пластин.

    2. Нанесение фоторезистора.

    4. Совмещение с фотошаблоном и экспонирование.

    5. Травление SiO 2 .

    6. Задубливание (сушка).

    7. Проявление.

    8. Удаление фоторезистора.

    Пр. Толстопленочная технология :

    1. Очистка подложек.

    2. Трафаретная печать.